+86 755-83044319

Events

/
/

Nine major technological innovations in the semiconductor industry in 2023

release time:2024-06-26Author source:SlkorBrowse:5158

This article highlights the nine most significant technological innovations in the global semiconductor industry in 2023. From new thermal transistors to faster semiconductor materials, these critical advancements are driving continuous progress in the semiconductor sector.

1. The Emergence of Thermal Transistors


A revolutionary thermal transistor developed by a research team at the University of California, Los Angeles (UCLA), has achieved breakthrough technological advancements. It holds unparalleled potential in atomic-level design and molecular engineering for thermal management of computer chips.

 

This new type of all-solid-state thermal transistor utilizes electric field effects to precisely control heat movement within semiconductor components. It holds unparalleled potential in atomic-level design and molecular engineering for thermal management of computer chips, while also demonstrating excellent compatibility with current semiconductor manufacturing processes.

 

This transistor has achieved a record-breaking switching speed exceeding 1 terahertz and offers a 1300% adjustability in thermal conductivity, surpassing previous limitations in thermal conductivity modulation.

 

To validate this technology, self-assembled molecular interfaces were used to precisely adjust thermal resistance via an electric field, demonstrating the control of heat movement.

 

This scalable technological innovation not only marks a significant leap in chip manufacturing and performance but also holds promise for advancing thermal management at the molecular level, potentially extending to the realm of living cells.

 

Technical support is provided by the UCLA Nanoelectronics Research Facility and CNSI, with information resources from the UCLA Library’s Digital Research and Education division and the Institute for Digital Research and Education's Advanced Network Infrastructure Coordinating Ecosystem.


2. Upgrades to ASML's EUV Lithography Machines


In 2023, ASML delivered its first High-NA EUV scanner, the Twinscan EXE:5000, to Intel. The collaborative development of this machine began in 2018. Intel plans to deploy the commercial-grade Twinscan EXE:5200 for high-volume production in 2025.

 

The 0.55 NA lens of the High-NA EUV scanner ensures an 8nm resolution, which is crucial for the production of advanced chips at 3nm and beyond. As the first company to adopt this cutting-edge equipment, Intel gains a strategic advantage in setting industry standards, potentially surpassing competitors Samsung and TSMC in the future.

 

The High-NA scanner features unique capabilities, with the beam size halved once more, necessitating substantial infrastructure investments. Intel's early adoption of this latest technology is a crucial preparatory step for deploying more advanced manufacturing techniques. ASML has committed to producing 20 High-NA EUV scanners annually by 2027-2028, positioning this technology as a cornerstone for future advancements. Intel aims to establish itself as the industry leader.


3. AI-Designed Chips

A controversial research paper published by Google claims significant advancements in artificial intelligence for chip design, sending shockwaves through the industry.

 

Google claims that its artificial intelligence technology has accelerated the layout planning of its AI chip's underlying processing units, completing the task in under six hours, far surpassing the capabilities of human experts.

 

The TPU v5 chip has sparked controversy. Google claims that its purpose is not to replace human designers but to demonstrate that AI can collaborate in the chip design process. (Americans are also worried about AI taking their jobs, and Google says they are just experimenting and won't actually use it. Do you believe them?)

 

Despite skepticism from peer researchers about the validity of this study and the challenges that remain, it plays a crucial role in driving technological innovation. Once the technology matures, the landscape of the chip design industry is set for a major transformation.


4. Reverse Power Supply Technology for Chips

Intel is cautiously introducing a new technology, PowerVia, alongside the launch of RibbonFET. PowerVia employs backside power delivery by placing power interconnects on the bottom of the silicon, resulting in a 6% frequency increase, more compact designs, and a 30% reduction in power dissipation.

 

The tests indicate that there is no increase in cost and no reduction in reliability. The manufacturing process includes nanoscale via drilling (TSV), bonding of carrier wafers, and establishing power interconnects at the bottom of the chip. Despite the added complexity, Intel achieved cost savings by optimizing the M0 layer without power interconnects.

 

PowerVia has been successfully integrated into Intel's production process, paving the way for the 20A node with RibbonFET transistors in 2024. This advancement positions Intel to potentially surpass competitors like TSMC and Samsung in nanosheet transistors and backside power delivery.


5. Laser Integrated Chip


Photonics Integrated Circuits (PICs) have seen extensive application in high-speed optical transceivers and applications such as LIDAR. However, integrating lasers onto silicon photonics chips remains a significant challenge due to silicon's limited emission efficiency. Belgium's nanoelectronics research center, Imec, leads this research endeavor. In a process called flip-chip bonding, laser dies are precisely aligned with sub-micron accuracy, transferred, and bonded onto silicon photonics wafers.

 

The wafer-level silicon photonics process has achieved coupling efficiencies of up to 80%, with some application examples reaching a satisfactory 60%. This demonstrates the effectiveness of this approach.

 

There are various methods for transferring laser dies. One approach is micro-transfer printing, which uses adhesives or molecular bonding for rapid assembly and coupling. This method is highly valuable in high-throughput scenarios where a large number of III-V components need to be integrated. Wafer bonding is another technique for bonding III-V materials to silicon wafers, allowing for the parallel processing of multiple devices and offering higher efficiency for optical interfaces.

 

Imec's technology is monolithic integration, also known as Nano Ridge Engineering (NRE), representing a cutting-edge approach. This method utilizes trench confinement to limit defects, aiming to achieve defect-free growth of III-V materials on silicon.

 

Imec's NRE technology enables the production of high-quality GaAs-based photodiodes on a 300mm silicon production line.

 

Flip-chip processing offers simplicity and flexibility, but its sequential nature limits production efficiency. In contrast, micro-transfer printing and wafer bonding, despite requiring higher costs, demonstrate higher throughput and lower cost potential in applications requiring multiple lasers per photon IC. Monolithic integration, particularly NRE, presents a promising direction by addressing the fundamental challenge of defect-free growth directly on silicon for basic components. With the dissemination and advancement of this process, it is poised to better serve various application needs in the field of silicon photonics.


6. Photon Fusion


Researchers at Stanford University's Congreve Lab are pioneering photochromic technology, focusing on frequency upconversion, which converts two low-energy photons into one high-energy photon. Using the triplet-triplet annihilation method and leveraging the triplet sensitizing properties of heavy metals like palladium, iridium, or platinum, along with excitable materials such as rubrene, the team has successfully achieved efficient emission of high-energy photons.

 

This process converts the wavelength of light into the wavelength that can be absorbed by silicon solar cells, essentially changing the color of the light (color-changing technology). This technique has been applied to enhance solar energy efficiency, potentially increasing it by 15-20%.

 

Additionally, research experiments have demonstrated the capability of 3D printing with upconversion technology, allowing precise curing of resin at specific points using low-power lasers. This provides new possibilities for additive manufacturing. These low-power lasers can rapidly print objects at the nanoscale in parallel, overcoming the current limitations of printing precision.

 

This transformative technology not only addresses challenges in solar energy and 3D printing, but also holds promise for various applications, including deep tissue imaging, optogenetics, night vision systems, and anti-counterfeiting solutions. Researchers have explored the application of near-infrared light frequency upconversion technology for deep tissue imaging, optogenetics, and localized chemical reaction applications within living tissues.

 

The work of the Congreve Lab demonstrates the diverse and transformative potential of frequency upconversion technology across various industries, marking only the beginning of its potential applications.


7.Chip-Scale Electron Accelerator


Physicists at the University of Erlangen-Nuremberg have made significant advancements in chip-sized electron accelerators. The team fabricated an accelerator on a chip using dielectric materials, creating a 225-nanometer-wide, 0.5-millimeter-long channel. By employing precisely timed infrared laser pulses and 733 silicon pillars, each 2 micrometers high, they were able to increase the electron energy by an impressive 43%.

 

This represents a significant leap forward in the field of accelerator physics. Nano-photonic electron accelerators can be constructed using standard cleanroom techniques, such as electron beam lithography. The researchers aim to develop compact accelerators to explore applications in synchrotron light sources, free-electron lasers, and the search for lightweight dark matter.


8.New Materials For High-Speed Semiconductors


Scientists have discovered what is claimed to be the fastest and most efficient semiconductor material to date, Re6Se8Cl2. This material is composed of rhenium, selenium, and chlorine, forming clusters known as "superatoms." These superatoms create a unique structure where bound excitons (electron-hole pairs) interact with phonons rather than scattering states, resulting in new quasiparticles called acoustic exciton-polarons.

 

Here are some key characteristics of this novel super semiconductor:

★ Re6Se8Cl2 exhibits sustained room-temperature ballistic exciton motion, with the movement speed of acoustic exciton-polarons being twice that of electrons in silicon.

★ Unlike traditional semiconductors where electrons scatter over short distances, exciton-polarons in Re6Se8Cl2 traverse several micrometers within nanoseconds, demonstrating exceptional speed performance.

★ This semiconductor operates based on light control rather than electrical current, achieving processing speeds in the femtosecond range, which is significantly faster than the gigahertz-range electronic devices currently available.

★ Re6Se8Cl2 is a van der Waals material and part of the superatomic semiconductor family. However, rhenium is a rare element, so researchers are seeking alternative materials with similar properties.

★ Acoustic exciton-polarons offer a novel method for achieving long-range energy transfer in unconventional materials, extending their application beyond traditional semiconductor uses.

★ Utilizing excitons instead of electrons can serve as efficient photodetectors or find applications in computing to enhance energy efficiency and performance. Potential devices include "ballistic transistors."

Researchers emphasize that while excitons carry information and energy like electrons, they may not be directly compatible with current semiconductor industry hardware. These findings open up new avenues for designing advanced semiconductor devices with unique functionalities.

 

There are many new concepts here, so let’s add some explanations:


Excitons

An exciton is a quasiparticle used in solid-state physics to describe a system where an electron and a hole (a positively charged vacancy) interact through Coulomb forces within a crystalline material.


Phonons

A phonon is the quantized unit of lattice vibrations, representing the smallest quantum of energy associated with a normal mode of vibration in a crystal lattice, as described in quantum mechanics.


Superatoms

Superatoms are stable structural units composed of several atoms that exhibit properties similar to those of single atoms. Their physical and chemical properties vary with the number of constituent atoms, their structure, and composition.


Quasiparticles

A quasiparticle is a new particle-like state that temporarily forms under specific conditions due to interactions, exhibiting collective properties distinct from ordinary fundamental particles. For example, in superconductors, the interaction between electrons and phonons can form Cooper pairs, which are charged bosons acting similarly to conventional electrons within the superconductor. Thus, Cooper pairs can be considered quasiparticles.


9.Sustainability Issues in Semiconductors: Gallium Nitride vs. Silicon Carbide


Due to the advantages of Gallium Nitride (GaN) and Silicon Carbide (SiC) semiconductors over traditional silicon technology, the power electronics field is undergoing a significant transformation. Around 2001, GaN-based compound semiconductors sparked a revolutionary change in the lighting industry, quickly capturing over 50% of the global GaN-based LED lighting market. This shift not only reduced lighting electricity consumption by 30% to 40% but also laid the groundwork for broader revolutionary changes in power electronics. GaN and SiC are contributing significantly to the replacement of silicon in critical power electronics applications with their superior efficiency and functionality. These materials reduce energy waste and bring substantial environmental benefits.


From electric vehicle inverters and solar microinverters to 5G base stations and military radar systems, the competitive dynamics between GaN and SiC are unfolding across various sectors. SiC currently dominates certain high-voltage applications. Meanwhile, GaN's higher switching speed gives it a competitive edge in microwave systems and scenarios where efficiency and size are crucial.


These new technological advancements, while shaping the semiconductor industry, also highlight its developmental trajectory for the coming years. As the boundaries of technology are continually pushed, the only constant is relentless innovation.

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat